CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - stopwatch vhdl

搜索资源列表

  1. miaobiao

    0下载:
  2. VHDL语言实现的秒表设计,具有分秒,计数清零等功能-VHDL language implementation of the stopwatch design, with the minutes and seconds, counting functions such as Clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:316657
    • 提供者:tangchengjiang
  1. Design_of_multi-functional_sports_stopwatch

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。用VHDL语言-Designed to be a cis-timing and countdown stopwatch. Required time ranges from 00.0S ~ 99.9S, with three digital tube display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:234856
    • 提供者:林文
  1. mb

    0下载:
  2. 简单秒表(1分钟),希望对初学者有帮助,VHDL-Simple stopwatch (1 minute), want to be helpful for beginners, VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1046094
    • 提供者:陈俊
  1. VHDLscounter

    0下载:
  2. 通过VHDL自行设计的一个秒表共有4个输出显示,分别为、十分之一秒、秒、十秒、分,所以共有4个计数器与之相对应(3个十进制计数器,一个6进制计数器用来对十秒进行计数),整个秒表还需有一个复位信号和一个精确的10HZ时钟信号。-Of a self-designed by VHDL stopwatch showed a total of four outputs, namely, one-tenth of seconds, seconds, ten seconds, minutes, so a to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:330605
    • 提供者:zhangmin
  1. startwatch1

    0下载:
  2. 利用VHDL硬件描述语言实现 一个秒表设计,其中有5个VHDL文件。startwatch为顶层文件-The use of VHDL hardware descr iption language designed to achieve a stopwatch, of which five VHDL files. startwatch for the top-level files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2830
    • 提供者:李磊
  1. CANDY1

    0下载:
  2. 用VHDL实现的数字钟,实现消抖,计时,显示分秒,秒表等功能-VHDL implementation with digital clock and realize elimination shake, timing, displays minutes and seconds, stopwatch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1343
    • 提供者:凌云
  1. VHDLforclock

    0下载:
  2. 用VHDL编写电子时钟芯片,具有整点报时,闹钟,秒表功能,调时可按十分与个位分别调时-The preparation of electronic clock chip with VHDL, with the whole point timekeeping, alarm clock, stopwatch function, can be transferred when the transfer is with a bit difference when the
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4539324
    • 提供者:林寒
  1. electricwatch

    0下载:
  2. 用VHDL语言设计多功能的电子表。实现基本电子表的时间显示、闹钟、秒表等功能-VHDL language design with multi-functional electronic watch. The time table to achieve basic electronic display, alarm clock, stopwatch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:974982
    • 提供者:mollyma
  1. b

    0下载:
  2. 基于VHDL的数字时钟设计与实现。。。。可以实现时钟,秒表-VHDL-based Design and Implementation of Digital clock. . . . Can achieve clock, stopwatch. .
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-06
    • 文件大小:720805
    • 提供者:洪依
  1. biao

    0下载:
  2. 用VHDL 描述的 “秒表"程序设计-Described using VHDL programming stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:837
    • 提供者:chong
  1. 5

    0下载:
  2. 基于FPGA的数字秒表的VHDL设计,论文,有主要程序-FPGA-based VHDL design digital stopwatch, paper, a major program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:608
    • 提供者:孤星寒
  1. 3

    0下载:
  2. 】文章介绍了用于体育比赛的数字秒表的VHDL 设计, 并基于FPGA 在MAXPLUS2 软件下, 采用ALTRA 公司FLEX10K 系列的EPF10K10LC84- 4 芯片进行了计算机仿真-】 This article introduces digital stopwatch for sports competition in the VHDL design and FPGA-based software in MAXPLUS2, using ALTRA company FLEX10K
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:50273
    • 提供者:孤星寒
  1. miaobiao

    0下载:
  2. vhdl实现秒表,功能包括计时、冻结时间显示、暂停-vhdl implementation stopwatch functions, including time, freezing time display, pause
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2148369
    • 提供者:nuandong
  1. stop_watch_with_doc

    0下载:
  2. vhdl code for stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1230855
    • 提供者:Jw
  1. counter

    0下载:
  2. Counter for VHDL. I have made a 3 bit COunter for my stopwatch project. -Counter for VHDL. I have made a 3 bit COunter for my stopwatch project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:657
    • 提供者:Jesper
  1. miaobiao

    0下载:
  2. 用VHDL实现的秒表功能,包括分频器,动态显示模块-VHDL implementation with stopwatch functions, including crossover, dynamic display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:333273
    • 提供者:miaoxiaohu
  1. shuzimiaobiao

    0下载:
  2. 秒表设计中的分块模块的设计,运用VHDL语言编写-Stopwatch design block module design, the use of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:76882
    • 提供者:林泽宇
  1. watch

    0下载:
  2. 本文件为电子设计而开发的多功能数字钟VHDL语言完整源代码 --该数字钟实现的功能有时间,秒表,闹钟,年月日的显示设置等 -This document is multi-functional electronic design and development of a complete VHDL, digital clock source code- the digital clock function can be achieved time, stopwatch, alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:526451
    • 提供者:钟祥
  1. digital_clock

    0下载:
  2. 用VHDL语言实现常用的数字秒表,并在Sparten3E FPGA上运行通过。-VHDL language commonly used with a digital stopwatch, and Sparten3E FPGA run through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29535609
    • 提供者:刘勇
  1. second

    0下载:
  2. 在QuarterII环境下开发 应用VHDL语言编写的秒表程序 能够用于计时-Development and application environment in QuarterII VHDL program can be written in stopwatch for timing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:318830
    • 提供者:tom
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com